Get in Toucharrow

CONTACT US

DESIGN FOR TEST

We provide high-quality testing employing several forms of DFT technologies for increased test quality and yield, in addition to compressed scan, memory BIST, and boundary scan DFT (Design for Testability). Faststream Technologies persuades Design for Test services to aid you in resolving these issues by establishing a Design for Test strategy with Tessent Connect that results in enhanced DFT execution quality and shorter time-to-market.

 

Our Design for Test (DFT) Technology

 

As processes grow more sophisticated, circuit size increases, circuit operation becomes quicker, and much less power is required, System on Chip testing is becoming more difficult. Design for Test (DFT) technology from our firm decreases the difficulty and cost of testing an integrated circuit. With ever-increasing design complexity, such as numerous memory, mixed-signal blocks, and IPs from several vendors crammed onto a single SoC, DFT implementation and Production Test signoff has become a major hurdle.

DFT Services

Our Design for Test (DFT) Services

 

  • Scan implementation (compression/non-compression) using industry standard tools.
  • Support from ATE for pattern stabilisation, split lot analysis, and structural failure diagnosis (scan, MBIST).
  • Formal Verification is used to certify the design accuracy before and after DFT (Design for Testability).
  • PLL clocks on the chip were used for testing.
  • ATPG (stuck-at, at-speed, SDD, Path delay, new faults based on the technology and strategy) creation of vectors Pattern (Verilog, WGL) simulation (Gate Level Simulations), Coverage analysis (unit-delay, extracted dealy with SDF).
  • IEEE 1149.1, IEEE1149.6 compliance test controllers (JTAG).
  • For increased yield, a memory redundancy repair procedure and defect diagnostic technologies are used
  • BIST Logic
  • For a good simulation and repair flow with faults, do Memory BIST, Simulation, and Vector preparation
  • Injections using EDA technologies that are widely used in the industry
  • During testing, there is a test that regulates the amount of power used
  • For our customers, experienced personnel may assist with in-house tool deployment and verification

 

Benefits of Design for Test (DFT)

Design for Testabilities, End to End

1. From design to silicon, we provide end-to-end assistance

 

To achieve the highest pattern count, Faststream used a balanced compression ratio scan hybrid algorithm. Before being plugged into the SoC, MBIST was generated and tested on standalone test benches, where multiple modes such as diagnostic, hard repair, soft repair, and BIST were verified.

Design for Testabilities, Off-the-shelf Components

2. Off-the-shelf components – Reduce DFT turnaround times

 

Test controllers and verification frameworks based on JTAG TAP (JTAG, IEEE 1149, TAP and its customised Instructions). Verifying BSCAN and producing tests for DC parametric testing are both covered by these test suites (No dependencies with EDA Tool). Creating Post-Si validation vectors that are compatible with the Tester (ATE). Conversions of formats with ATE logs for diagnosis.

Design for Testabilities, Traning support

3. Training support from design to silicon 

 

Bridge the gap in experience levels; Remote support from Faststream’s office to resources at customer sites

Testmode STA is required for DFT Strategy/Structural Testing. Exclusive JTAG, BSCAN, SCAN, and MBIST instruction. Content that is tailored to the needs of the consumer.

Design for Testabilities, DFT-Implementation for network SoC

4. DFT implementation for a network SoC

 

Faststream developed a hybrid project execution methodology, in which the team structure allowed for both offshore mentorship and onsite support. Faststream saved customers money by offering DFT expertise for the duration of the project instead of engaging pricey full-time DFT staff. Our DFT technique eliminated the need to convert event-based vectors to cycle-based vectors. Faststream’s framework’s inexpensive price allowed customers to cut their overall development expenditures.

Methodology of Tessent Connect DFT

 

When chip designs and memory instances inside designs expand in size, a faster but still accurate approach is necessary. IC designers employ Tessent Connect design tools at a higher level of abstraction than non-hierarchical tools.

Tessent Connect was made to make installing intent-driven hierarchical tests easier. The hierarchical DFT method divides a semiconductor design into submodules and tests them all at the same time. This strategy saves time while eliminating the problems associated with a flat test setup. When traditional DFT tools are retrofitted to a hierarchical architecture, the benefits of this technique are nullified, resulting in extra inefficiencies.

 

Advantages

 

  • Collaboration amongst DFT teams that is seamless
  • Component reuse that is plug-and-play
  • Turnaround times are shorter
  • Tasks that take a long time to complete can be automated